Thursday 8 November 2012

Assertion to check Frequency/time period


time clk_period = 6400;
//property chk_period(`DUT_TOP.sd0_rx_clk_0, time clk_period);
property chk_period(time clk_period);
time current_time;
@(posedge `DUT_TOP.sd0_rx_clk_0)
disable iff((!`DUT_TOP.reset))
if($stable(clk_period, @(posedge `DUT_TOP.sd0_rx_clk_0 )))
('1,current_time = $time) ##1 ((clk_period) == ($time- current_time));
endproperty: chk_period